Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Error using f4pga flow for Xilinx #435

Open
carlosedp opened this issue Jun 6, 2024 · 0 comments
Open

Error using f4pga flow for Xilinx #435

carlosedp opened this issue Jun 6, 2024 · 0 comments

Comments

@carlosedp
Copy link
Contributor

I'm trying to use the f4pga flow for a Xilinx board but I'm getting the following error:

Traceback (most recent call last):
  File "/Users/cdepaula/python_bins/bin/fusesoc", line 8, in <module>
    sys.exit(main())
             ^^^^^^
  File "/Users/cdepaula/python_bins/lib/python3.12/site-packages/fusesoc/main.py", line 672, in main
    fusesoc(args)
  File "/Users/cdepaula/python_bins/lib/python3.12/site-packages/fusesoc/main.py", line 662, in fusesoc
    args.func(fs, args)
  File "/Users/cdepaula/python_bins/lib/python3.12/site-packages/fusesoc/main.py", line 316, in run
    edam_file, backend = fs.get_backend(core, flags, args.backendargs)
                         ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
  File "/Users/cdepaula/python_bins/lib/python3.12/site-packages/fusesoc/fusesoc.py", line 155, in get_backend
    edalizer.parse_args(backend_class, backendargs, edam)
  File "/Users/cdepaula/python_bins/lib/python3.12/site-packages/fusesoc/edalizer.py", line 492, in parse_args
    parser = self._build_parser(backend_class, edam)
             ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
  File "/Users/cdepaula/python_bins/lib/python3.12/site-packages/fusesoc/edalizer.py", line 324, in _build_parser
    paramtypes = backend_class.argtypes
                 ^^^^^^^^^^^^^^^^^^^^^^
AttributeError: type object 'F4pga' has no attribute 'argtypes'

The config is like:

  artya7-35t-f4pga:
    default_tool: f4pga
    description: Digilent ArtyA7-35T Board using F4PGA Toolchain
    filesets: [base, artya7-35t, proginfo, progload]
    generate: [artya7-35t]
    hooks:
      post_run: [artya7-35t]
    tools:
      f4pga:
        device: artix7
        part: xc7a35tcpg236-1
        chip: xc7a50t_test
    toplevel: Toplevel
    parameters:
      - ENABLE_INITIAL_MEM_
...
parameters:
  ENABLE_INITIAL_MEM_:
    description: Used by firtool so the memories are initialized with readmemh. https://github.com/llvm/circt/pull/5237
    paramtype: vlogdefine
    datatype: bool
    default: true
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant