Skip to content

Commit

Permalink
Skip certain tests for GL sim
Browse files Browse the repository at this point in the history
  • Loading branch information
mole99 committed Apr 4, 2024
1 parent 5486e7f commit bdd1739
Showing 1 changed file with 5 additions and 5 deletions.
10 changes: 5 additions & 5 deletions test/test.py
Original file line number Diff line number Diff line change
@@ -1,9 +1,9 @@
# SPDX-FileCopyrightText: © 2024 Leo Moser <leomoser99@gmail.com>
# SPDX-License-Identifier: Apache-2.0

from PIL import Image, ImageChops
import os
import random

from PIL import Image, ImageChops
import cocotb
from cocotb.clock import Clock
from cocotb.triggers import ClockCycles
Expand Down Expand Up @@ -115,7 +115,7 @@ async def test_vga_default(dut):

await ClockCycles(dut.clk, 10)

@cocotb.test()
@cocotb.test(skip=os.environ.get('GL_TEST', None) != None)
async def test_spi_regs(dut):

# Start the clock
Expand Down Expand Up @@ -170,7 +170,7 @@ async def test_spi_regs(dut):

await ClockCycles(dut.clk, 10)

@cocotb.test()
@cocotb.test(skip=os.environ.get('GL_TEST', None) != None)
async def test_spi_shader(dut):

# Start the clock
Expand Down Expand Up @@ -208,7 +208,7 @@ async def test_spi_shader(dut):

await ClockCycles(dut.clk, 10)

@cocotb.test()
@cocotb.test(skip=os.environ.get('GL_TEST', None) != None)
async def test_spi_regs_shader_regs_random(dut):

# Start the clock
Expand Down

0 comments on commit bdd1739

Please sign in to comment.