Skip to content

Commit

Permalink
Fix test
Browse files Browse the repository at this point in the history
  • Loading branch information
mole99 committed Apr 13, 2024
1 parent 0acb56b commit e6655a4
Show file tree
Hide file tree
Showing 2 changed files with 2 additions and 4 deletions.
2 changes: 1 addition & 1 deletion src/shader_memory.sv
Original file line number Diff line number Diff line change
Expand Up @@ -20,7 +20,7 @@ module shader_memory #(
always_ff @(posedge clk_i, negedge rst_ni) begin
if (!rst_ni) begin
`ifdef COCOTB_SIM
$readmemb("../sw/binary/test7.bit", memory);
$readmemb("../sw/binary/test4.bit", memory);
`else
// Load the default program (test4)
memory[0] <= 8'b00_0100_00; // GETX R0
Expand Down
4 changes: 1 addition & 3 deletions test/test.py
Original file line number Diff line number Diff line change
Expand Up @@ -111,13 +111,11 @@ async def test_vga_default(dut):
image = await task_draw_frame.join()
image.save(f"default.png")

await FallingEdge(dut.vsync)
await FallingEdge(dut.hsync)

# Start thread to draw frame
task_draw_frame = await cocotb.start(draw_frame(dut))

image2 = await task_draw_frame.join()
image2.save(f"default2.png")

# Check that images are not the same
diff = ImageChops.difference(image.convert('RGB'), image2.convert('RGB'))
Expand Down

0 comments on commit e6655a4

Please sign in to comment.