Skip to content

rowanG077/yosys_ecp5_infer_bram_outreg

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

5 Commits
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

yosys-ecp5-infer-bram-outreg

Plugin for yosys that allows inference of output registers on the ECP5 DP16KD memory primitive.

Compile:

  • Make sure you have `"yosys-config"`` in the $PATH
  • Run "make" in this directory

Usage:

Run yosys with "-m ecp5_infer_bram_outreg.so". Then use the "ecp5_infer_bram_outreg" command after running synthesis for the ECP5 ("synth_ecp5 ..."). Note that it requires no-rw-check for blockrams since the extra logic is placed on the output of the memories.

About

No description, website, or topics provided.

Resources

License

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published